کنکور کامپیوتر
0
ورود | ثبت نام
نظرات
اشتراک
بالا
علاقه‌مندی

اشتراک
 

معرفی نرم افزار Active-HDL

جهت طراحی FPGA

متخصصین تولید سخت افزار و مدارهای الکترونیکی به کمک این نرم افزار قادر به شبیه سازی FPGA می باشند

شبیه ساز Active-HDL

نرم افزارActive-HDL یک محیط طراحی یکپارچه است که جهت طراحی و شبیه سازی FPGA است. این نرم افزار ویندوزی برای محیط های کارگروهی مناسب است. محیط کدنویسی این اپلیکیشن تلفیقی از زبان های VHDL، Verilog، EDIF، SystemC و SystemVerilog می باشد. این IDE، قابلیت های پیشرفته ای به نرم افزار به منظور پشتیبانی از پروژه های سطح بالا در اختیار دانشجویان کارشناسی کامپیوتر سخت افزار و کارشناسی ارشد کامپیوتر گرایش معماری قرار گرفته است. تمام کتابخانه‌هایی که سازندگان FPGA از قبل کامپایل کرده اند و آماده ی استفاده در پروژه آن ها می باشند، در نرم افزار Active-HDL گنجانده شده است. Active-HDL تقریبا از تمامی تراشه های موجود در بازار پشتیبانی میکند (تولیدات شرکت های Actel، Altera، Lattice، Quicklogic و Xilinx) و دارای یک دیباگر قدرتمند کد است. همچنین قابلیت برنامه نویسی همزمان برای چند تراشه و کامپایل و شبیه سازی مجزای هر یک از آنها را داراست. با این نرم افزار شمار قادر به تولید مدار چاپی نیز می باشید.
ActiveHDL

دانلود نرم افزار ActiveHDL 10.1

بازدیدکنندگان این مطلب،مطالب زیر را هم مطالعه کرده اند :

  1. مشـاوره برای ارشـــد کامپیـــوتر
  2. سوالات 10 سال کنکور ارشد کامپیوتر
  3. منابع کنکور ارشد کامپیوتر سخت افزار
امتیازدهی4 1 1 1 1 1 1 1 1 1 14.00 امتیاز (4 رای)
اشتراک
بارگذاری نظرات