کنکور کامپیوتر
0
ورود | ثبت نام
نظرات
اشتراک
بالا
علاقه‌مندی

اشتراک
 

مالتی پلکسر چیست، فیلم آموزش مالتی پلکسر بصورت 0 تا 100

در این صفحه به بررسی موارد زیر پرداخته شده : مالتی پلکسر چیست، کاربرد ملتی پلکسر، جدول درستی مالتی پلکسر، بررسی انواع مالتی پلکسرهای 4 به 1 و 8 به 1 و 16 به 1

در مدار منطقی مدارات به دو دسته مدارات ترکیبی و مدارات ترتیبی تقسیم می‌شوند، مدارات ترکیبی زیادی در مدار منطقی نظیر مالتی پلکسر، مقایسه کننده، انکدر، دیکدر، دی مالتی پلکسر، جمع کننده و ... وجود دارد، ما در این صفحه قصد داریم به بررسی مالتی پلکسر (Multiplexer) بعنوان یکی از مدارات ترکیبی بپردازیم، برای بررسی سایر مدارات ترکیبی و ترتیبی به صفحه مدار منطقیآموزش مدار منطقی به زبان ساده - بررسی مدار منطقی و انواع آنآموزش مدار منطقی به زبان ساده - بررسی مدار منطقی و انواع آنامروزه درک صحیحی از مدارهای منطقی برای هر مهندس برق و کامپیوتر ضروری است. این مدارها عنصر اصلی کامپیوترها و بسیاری از وسایل الکترونیکی اطراف ما هستند، در این صفحه به بررسی و آموزش مدار منطقی پرداخته شده است مراجعه کنید. همچنین می‌دانیم که افرادی که برای طراحی و معماری کامپیوتر داشتن دانش مدار منطقی واجب است، شما عزیزان برای مطالعه بیشتر در مورد معماری کامپیوتر آموزش جامع معماری کامپیوترآموزش جامع معماری کامپیوتر در مهندسی کامپیوتر، معماری کامپیوتر مجموعه‌ای از قوانین و روش‌هایی است که به چگونگی طراحی، کارکرد، سازماندهی و پیاده سازی (ساخت) سیستم‌های کامپیوتری می‌پردازد، در این صفحه به بررسی و آموزش کامل معماری کامپیوتر پرداخته شده است نیز می‌توانید به صفحه مذکور مراجعه کنید.

در مدار منطقی مالتی پلکسر مداری است با دو بتوان n ورودی و 1 خروجی و n خط انتخاب که بسته به اینکه خطوط انتخاب چه باشند یکی از ورودی‌ها را به روی خروجی منتقل می‌کند. مالتی پلکسرها دارای انواع 2 به 1، 4 به 1، 8 به 1 و ... هستند.

فیلم معرفی کامل مالتی پلکسر

مالتی پلکسر (Multiplexer)

مالتی پلکسر ‌ها مدارات ترکیبی هستند، با چندین ورودی و یک خروجی که در هر لحظه از زمان فقط یکی از ورودی‌ها به تنها خروجی منتقل می‌شود. از همین رو به آن‌ها انتخابگر داده (Data Selector) نیز می‌گویند (به مالتی پلکسر به اختصار “MUX” یا “MPX” گفته می‌شود).

به ارسال یک یا چند سیگنال آنالوگ یا دیجیتال بر روی یک رسانه مشترک، Multiplexing می‌گویند.

مالتی پلکسر را به اشکال مختلفی می‌توان نشان داد:

در این تصویر اشکالی که با آن‌ها می‌توانیم یک مالتی پلکسر را نشان دهیم قرار گرفته است.

همان طور که در شکل‌های تصویر فوق می‌بینید علاوه بر ورودی‌ها و خروجی، پایه‌هایی وجود دارند به نام خطوط انتخاب (Select)، که این خطوط تعیین می‌کند که کدام ورودی به تنها خروجی منتقل شود.

برای درک بهتر به شکل زیر دقت کنید:

در این تصویر نحوه عمل کردن یک سوییچ Multiplexing ساده را می توانید ببینید.

این یک سوئیچ Multiplexing پایه است، به زبان ساده تر این یک سوئیچ دستی است که ورودی‌ها را به تنها خروجی منتقل می‌کند، اما مشکلی که وجود دارد این است که این کار به صورت اتوماتیک انجام نمی‌شود، اینجاست که حضور مالتی پلکسر مشکل را رفع می‌کند. احتمالا تا به اینجا فهمیده‌اید که یک مالتی پلکسر چیست و چگونه کار می‌کند. در ادامه به بررسی دقیق تری از مالتی پلکسر خواهیم پرادخت.

مالتی پلکسر 2 به 1 (Mux 2-to-1)

شکل رو‌به‌رو یک مالتی پلکسر 2 به 1 را نشان می‌دهد (I0 و I1 ورودی ها و S پایه انتخاب (Select) ما است):

این تصویر، شکل یک مالتی پلکسر ۲ به ۱ را نشان می‌دهد.
 

اگر S صفر باشد I0 و اگر یک باشد I1 انتخاب می‌شود:

fS
I0 0
I1 1

شکل مقابل سخت افزار داخلی مالتی پلکسر فوق است :

این تصویر سخت افزار داخلی یک مالتی پلکسر ۲ به ۱ را نشان می‌دهد.

مالتی پلکسر 2 به 1 را به وسیله‌ی بافر سه حالته نیز می‌توان ساخت، اگر به یاد داشته باشید در بافر سه حالته اگر همه ورودی‌ها High-Z باشد و فقط یکی از ورودی‌ها مقدار داشته باشد، می‌توانیم برای بافر سه حالته تابع نویسی کنیم:

این تصویر، شکل یک بافر سه حالته با دو ورودی را نشان می‌دهد.

در مالتی پلکسر هم تنها یکی از ورودی‌ها انتخاب می‌شود تا به تنها خروجی منتقل شود، پس می‌توان گفت هر دو ماهیت یکسانی دارند.تابع شکل روبه‌رو به صورت زیر است:

تابع یک بافر سه حالته دو ورودی در این تصویر قرار گرفته است.

برای یادآوری، اگر یادتان باشد، توسط پایه های کنترل یک بافر سه حالته، گویا اجازه عبور مقدار پشت آن را می‌دادیم، توجه داشته باشید که اگر این پایه کنترل صفر باشد خروجی آن صفر نیست بلکه High-Z یا های امپدانس است. برای مثال به بافر سه حالته زیر دقت کنید و عملکرد آن را با توجه به جدول صحت زیر بررسی کنید:

 

 

fS
Z 0
1 1

 

 

این تصویر، شکل یک بافر سه حالته را نشان می‌دهد.

مالتی پلکسر 4 به 1 (MUX 4-to-1)

شکل زیر یک مالتی پلکسر 4 به 1 را نشان می‌دهد:

این تصویر، شکل یک مالتی پلکسر ۴ به ۱ را نشان می‌دهد.

در جدول صحت زیر، عملکرد این مالتی پلکسر را می‌بینیم:

fS0S1
I0 0 0
I1 1 0
I2 0 1
I3 1 1

سخت افزار داخلی یک مالتی پلکسر 4 به 1 به صورت زیر است:

در این تصویر، سخت افزار داخلی یک مالتی پلکسر ۴ به ۱ نشان داده شده است.

 

ساخت مالتی پلکسر 4 به 1 با دیکدر و گیت های AND و OR

ما با استفاده از یک دیکدر، چهار گیت AND و یک گیت OR می‌توانیم یک مالتی پلکسر 4 به 1  بسازیم، همان طور که می‌دانید دیکدر در هر لحظه یک خروجی فعال دارد که از این مسئله می‌توانیم در قالب خطوط انتخاب‌ استفاده کنیم. به شکل زیر دقت کنید:

این تصویر، ساخت مالتی پلکسر ۴ به ۱ با استفاده از دیکدر و گیت‌ها‌ی ‌AND و OR را نشان می‌دهد

متناسب با مقدار S0 و S1 یکی از خروجی های دیکدر، یک می شود و یکی از ورودی‌ها به تنها خروجی منتقل می‌شود.

ساخت مالتی پلکسر 4 به 1 با دیکدر و بافر سه حالته

در اینجا خروجی های دیکدر، به عنوان پایه  کنترل بافر های سه حالته است:

در این تصویر، ساخت یک مالتی پلکسر ۴ به ۱ توسط یک دیکدر و بافر سه حالته نشان داده شده است.

ساخت مالتی پلکسر 4 به 1 با مالتی پلکسر 2 به 1

در طراحی مالتی پلکسر های بزرگ ما می‌توانیم از مالتی پلکسر های کوچکتر برای ساخت آن‌ها استفاده کنیم، برای مثال ما توسط سه مالتی پلکسر 2 به 1 می‌توانیم یک مالتی پلکسر 4 به 1 را بسازیم. در هنگام ساخت یک مالتی پلکسر بزرگ که توسط مالتی پلکسر های کوچک ساخته شده، شما مسابقات جام جهانی را در نظر بگیرید، در مسابقات جام جهانی تیم ها ابتدا دو به دو با یکدیگر مسابقه می‌دهند و برنده به مرحله بعد رفته و با یک برنده ی دیگر مسابقه می‌دهد، حال ما همین دیدگاه را در اینجا نیز داریم:

این تصویر نشان دهنده نحوه ساخت مالتی پلکسر ۴ به ۱ با استفاده از مالتی پلکسر ۲ به ۱ است.

در اینجا دقت کنید که  S1 به عنوان خط انتخاب مرحله اول و S0 به عنوان خط انتخاب مرحله آخر قرار گرفته است. یعنی ترتیب قرار دادن خطوط انتخاب از پر ارزش به کم ارزش است (در اینجا S1 پایه با ارزش‌تر و  S0کم ارزش است).

مالتی پلکسر 8 به 1 (MUX 8-to-1)

مالتی پلکسر 8 به 1 را می‌توانیم به عنوان یک مالتی پلکسر 8 به 1 بسازیم یا با مالتی پلکسر های کوچک تری بسازیم،‌ یک مالتی پلکسر 8 به 1 از سه پایه انتخاب (Select) تشکیل شده است:

شکل یک مالتی پلکسر ۸ به ۱ در این تصویر نشان داده شده است.

جدول صحت مالتی پلکسر ۸ به ۱
f S0 S1 S2
I0 0 0 0
I1 1 0 0
I2 0 1 0
I3 1 1 0
I4 0 0 1
I5 1 0 1
I6 0 1 1
I7 0 1 1

اما اگر بخواهیم با مالتی پلکسر های کوچکتری بسازیم باید فلسفه انتخاب تیم های جام جهانی را به یاد بیاوریم، ما در اینجا، با مالتی پلکسر 2 به 1 و مالتی پلکسر 4 به 1، یک مالتی پلکسر 8 به 1 ساخته ایم.

ساخت مالتی پلکسر ۸ به ۱ با مالتی پلکسر 2 به به صورت زیر است:

این تصویر نشان دهنده این است که چگونه می‌توان با مالتی پلکسر ۲ به ۱ مالتی پلکسر ۸ به ۱ ساخت.

ساخت مالتی پلکسر ۸ به ۱ با مالتی پلکسر ۴ به ۱ به صورت زیر است:

این تصویر، ساخت مالتی پلکسر ۸ به ۱ را با استفاده از مالتی پلکسر ۴ به ۱ نشان می‌دهد.

در تصویر فوق، ما از دو مالتی پلکسر 4 به 1 و یک مالتی پلکسر 2 به 1 استفاده کرده ایم، چرا که دو مالتی پلکسر اول،‌ دو خروجی می‌دهند که می‌توان با یک مالتی پلکسر 2 به 1 انتخاب بین آن دو خروجی  را انجام داد.

مالتی پلکسر ۱۶ به ۱ (Mux 16-to-1)

یک مالتی پلکسر ۱۶ به ۱، ۱۶ ورودی و ۴ پایه کنترل دارد. به جدول زیر توجه کنید:

OutputsInputs

Y

S0 S1 S2 S3
A0 0 0 0 0
A1 1 0 0 0
A2 0 1 0 0
A3 1 1 0 0
A4 0 0 1 0
A5 1 0 1 0
A6 0 1 1 0
A7 1 1 1 0
A8 0 0 0 1
A9 1 0 0 1
A10 0 1 0 1
A11 1 1 0 1
A12 0 0 1 1
A13 1 0 1 1
A14 0 1 1 1
A15 1 1 1 1

سخت افزار داخلی مالتی پلکسر ۱۶ به ۱ را می‌توانید در شکل زیر مشاهده کنید:

 سخت افزار داخلی مالتی پلکسر ۱۶ به ۱ در این تصویر نشان داده شده است.

شیفت بشکه‌ای با مالتی پلکسر

 در شیفت بشکه ای به دلیل اینکه ما باید در یک لحظه چند بیت را با هم شیفت دهیم از مالتی پلکسر برای ساخت آن استفاده می‌کنیم، چرا که مالتی پلکسر‌ها به صورت موازی کار کرده و عمل شیفت را در یک لحظه انجام می‌دهند. در مثال زیر ما یک شیفت دهنده بشکه‌ای به سمت چپ را با هم بررسی می‌کنیم:

در این تصویر،‌ نحوه ساخت یک شیفت دهنده بشکه‌ای را با استفاده از مالتی پلکسر را نشان می‌دهیم.

طراحی گیت های پایه با مالتی پلکسر

ساخت گیت AND با مالتی پلکسر به صورت زیر است:

 

 

جدول صحت تصویر روبه‌رو
- f b a
f=0 0 0 0
0 1 0
f=b 0 0 1
1 1 1

ساخت گیت AND با استفاده از مالتی پلکسر در این تصویر نشان داده شده است.

گیت OR:

 

 

 

جدول صحت تصویر روبه‌رو
- f b a
f=b 0 0 0
1 1 0
f=1 1 0 1
1 1 1

 در این شکل، نحوه ساخت گیت OR توسط مالتی پلکسر را می‌توانید مشاهده کنید.

و گیت NOT نیز به شکل زیر است:

 

 

 

جدول صحت تصویر روبه‌رو
f a
0 1
1 0

در این تصویر نحوه ساخت گیت NOT توسط مالتی پلکسر را می‌توانید مشاهده کنید.

بررسی IC 74157

آی سی 74157 مالتی پلکسری است که به جای یک خروجی چهار خروجی دارد و در ورودی، دو دسته چهار بیتی دریافت می‌کند:

این تصویر یک آی سی 74157 است

همان طور که در تصویر فوق می‌بینید دو دسته چهار بیتی به عنوان ورودی، پایه S خط انتخاب و به عنوان فعال یا غیر فعال کننده (Active Low) مالتی پلکسر ما عمل می‌کند،‌ نکته‌ای که باید به آن توجه کرد این است که در صورتی که صفر باشد مدار به کار عادی خودش ادامه می‌دهد، اما اگر  یک باشد ورودی‌ها و S هر چه که باشد، خروجی صفر است:

در این شکل، جدول صحت آی سی 74157 نشان داده شده است.

تفاوت مالتی پلکسر (Multiplexer) و دی مالتی پلکسر (Demultiplexer)

 در این تصویر تفاوت مالتی پلکسر و دی مالتی پلکسر را می‌توانید مشاهده کنید.

هر دو یک نوع مدار ترکیبی هستند، مالتی پلکسر یا انتخابگر داده (Data Selector)دارای 2n ورودی، n پایه کنترل و یک خروجی است، در واقع مالتی پلکسر با پایه های کنترل‌اش تعیین می‌کند که کدام ورودی‌اش تنها خروجی اش منتقل شود. از طرف دیگر دی مالتی پلکسرhttps://www.konkurcomputer.ir/%D8%AF%DB%8C-%D9%85%D8%A7%D9%84%D8%AA%DB%8C-%D9%BE%D9%84%DA%A9%D8%B3%D8%B1.htmlhttps://www.konkurcomputer.ir/%D8%AF%DB%8C-%D9%85%D8%A7%D9%84%D8%AA%DB%8C-%D9%BE%D9%84%DA%A9%D8%B3%D8%B1.htmlدر این صفحه به بررسی 0 تا 100 انواع دی مالتی پلکسر از جمله دی مالتی پلکسر 1 به 2، دی مالتی پلکسر 1 به 4 و سخت افزار دی مالتی پلکسر و کاربرد آن پرداخته شده است یا توزیع کننده داده (Data Distributer)دارای یک ورودی، n  پایه کنترل و 2n خروجی است، دی مالتی پلکسر توسط پایه‌های کنترل خود مشخص می‌کند که تنها ورودی‌اش به کدام خروجی منتقل شود.

مزیت های مالتی پلکسر(Multiplexer)

از مزیت‌های استفاده از مالتی پلکسر می‌توان به موارد زیر اشاره کرد:

کاربرد مالتی پلکسر در دنیای واقعی کجاست؟

مالتی پلکسر کاربر های مختلفی دارد برای مثال استفاده از مالتی پلکسرها در شبکه های تلفنی، باعث شده چندین سیگنال صوتی در یک خط یکپارچه شده و در نهایت سیگنال های صوتی جدا شود، و سیگنال مورد نظر به گیرنده مورد نظر برسد. از دیگر کاربرد های آن می‌توان به استفاده آن‌ها در حافظه کامپیوتر اشاره کرد. در صورت علاقه مندی به حافظه کامپیوتر و نحوه کارکرد آن می توانید به صفحه معماری کامپیوتر مراجعه کنید.

انواع مالتی پلکسر ها

در تولید مالتی پلکسر ها، آن‌ها را به دو نوع دیجیتال و آنالوگ تقسیم می‌کنند، و تنها تفاوت این دو این است که در مالتی پلکسر آنالوگ ولتاژ خروجی برابر با مقدار ولتاژ ورودی بوده و در مالتی پلکسر دیجیتال خروجی به صورت صفر یا یک منطقی است.

جمع بندی

مالتی پلکسر ها، مدارات ترکیبی هستند که دارای چندین ورودی و یک خروجی هستند که در هر لحظه از زمان فقط یک ورودی به خروجی منتقل می‌شود، این که کدام ورودی انتخاب شود را خطوط انتخاب (Select) مشخص می‌کند. مالتی پلکسر ها به دو نوع دیجیتال و آنالوگ تقسیم می‌شوند.

مالتی پلکسر چیست؟

مالتی پلکسر‌ها مدارات ترکیبی هستند، با چندین ورودی و یک خروجی که در هر لحظه از زمان فقط یکی از ورودی ها به تنها خروجی منتقل می‌شود. از همین رو به آن‌ها انتخابگر داده (Data Selector) نیز می‌گویند.

تفاوت مالتی پلکسر و دی مالتی پلکسر چیست؟

مالتی پلکسر چندین ورودی داشته و توسط خطوط انتخاب، مشخص می‌کند که کدام ورودی به تنها خروجی منتقل شود، در حالی که دی مالتی پلکسر یک ورودی داشته و چندین خروجی که خطوط انتخاب آن مشخص می‌کند که تنها ورودی در کدام خروجی قرار بگیرد، از همین رو به مالتی پلکسر، انتخابگر داده (Data Selector) و به دی مالتی پلکسر، توزیع کننده داده (Data Distributer) می‌گویند.

کاربر مالتی پلکسر در کجاست؟

مالتی پلکسر برای انتخاب و هدایت یکی از چندین سیگنال ورودی به یک خروجی استفاده می‌شود. از کاربرد های آن می‌توان به شبکه های تلفنی اشاره کرد، که استفاده از آن باعث شده چندین سیگنال صوتی در یک خط مشترک یکپارچه شده و در نهایت سیگنال های صوتی جدا شود، و سیگنال مورد نظر به دست گیرنده مورد نظر برسد.

همچنین هر گونه سوالی در مورد کلاس‌های آنلاین کنکور کامپیوتر و یا تهیه فیلم‌ها و یا رزرو مشاوره تک جلسه‌ای تلفنی با استاد رضوی دارید می‌توانید به طرق زیر از تیم پشتیبانی بپرسید:

آی دی تلگرام تیم پشتیبانی:     konkurcomputer_admin@

تماس با پشتیبانی:   09378555200

امتیازدهی4.3571428571429 1 1 1 1 1 1 1 1 1 14.36 امتیاز (7 رای)
اشتراک
بارگذاری نظرات
تلگرام اینستاگرام