کنکور کامپیوتر
0
ورود | ثبت نام
نظرات
اشتراک
بالا
علاقه‌مندی

اشتراک
 

نمونه سوالات مدار منطقی با پاسخ تشریحی - مثال های مدار منطقی

در این صفحه نمونه سوالات مدار منطقی با پاسخ تشریحی برای شما عزیزان قرار داده شده است، سعی شده مثال های مدار منطقی تمامی مباحث منطقی را در بر گیرد

در این صفحه نمونه سوالات مدار منطقی با پاسخ تشریحی برای شما عزیزان قرار داده شده است، سعی شده مثال های مدار منطقی تمامی مباحث منطقی را در بر گیرد. در صورتی که علاقه دارید تا بیشتر با درس مدار منطقی آشنا شوید و فیلم‌ های رایگان مدار منطقی را مشاهده کنید به صفحه معرفی و بررسی مدار منطقیآموزش مدار منطقی به زبان ساده - بررسی مدار منطقی و انواع آنآموزش مدار منطقی به زبان ساده - بررسی مدار منطقی و انواع آنامروزه درک صحیحی از مدارهای منطقی برای هر مهندس برق و کامپیوتر ضروری است. این مدارها عنصر اصلی کامپیوترها و بسیاری از وسایل الکترونیکی اطراف ما هستند، در این صفحه به بررسی و آموزش مدار منطقی پرداخته شده است مراجعه کنید.

نمونه سوالات سیستم نمایش اعداد درس مدار منطقی

آسان مقدار اعداد نمایش داده شده در کدام مبنا با سایر موارد زیر متفاوت است؟ سیستم‌های نمایش اعداد و تبدیل مبنا
1 $(19/1)_{16}$
2$(25/0625)_{10}$
3 $(31/01)_8$
4$(11001/0001)_2$

می دانیم که در صورت تبدیل اعداد داده شده به مبنای ۱۰ آنگاه بخش صحیح به بخش صحیح در مبنای ۱۰ تبدیل شده و بخش اعشاری نیز به بخش اعشاری در مبنای ۱۰ تبدیل می شود.

با این فرض ابتدا بخش صحیح هر گزینه را به مبنای ۱۰ برده و مقایسه می کنیم.

گزینه ۱:

$\displaystyle 1\times16+9=25$

گزینه ۲:

$\displaystyle 2\times10+5$

گزینه ۳:

$\displaystyle 3\times8+1$

گزینه ۴:

$\displaystyle 1\times2^{4}+1\times2^{3}+1\times2^{0}=25$

از آنجایی که بخش صحیح همه گزینه ها یکسان شد به سراغ بخش اعشاری می رویم

گزینه ۱:

$\displaystyle 1\times16^{-1}=\frac{۱}{16}$

گزینه ۲:

$\displaystyle 0/0625=\frac{625}{10000}=\frac{1}{16}$

گزینه ۳:

$\displaystyle 1\times8^{-2}=\frac{1}{64}$

گزینه ۴:

$\displaystyle 1\times2^{-4}=\frac{1}{16}$

نمونه سوالات ساده سازی، جبربول و گیت‌ها درس مدار منطقی

آسان عملکرد مدار زیر چیست؟ سیستم‌های نمایش اعداد و تبدیل مبنا

1

1عملکرد صحیح نیست.
2 $c’d’+bd’+abc’+a’bc$
3$c’d’+bd’+abc’+a’bc$
4 $cd+bd+abc’+a’bc$
تابع بصورت $F\left(a,b,c,d\right)=\left(b+c\bar{b}\right)d+\left(ab\bar{c}+b\bar{a}c\right)\bar{d}$ است که اگر با جدول کارنو ساده کنیم آنگاه داریم:
$F\left(a,b,c,d\right)=cd+bd+ab\bar{c\ }+\ \bar{a}bc$
آسان کدام یک از جداول زیر معرف عبارت $X=AC+BC+B$ است؟ ساده سازی، جبر بول، گیت‌ها
1 2
2 3
3 4
4 5

$x = AC+(BC+B)$ طبق قانون جذب $x= AC+B$

6

متوسط جدول گذر (Transition) برای یک مدار آسنکرون در زیر داده شده است. اگر مدار در ابتدا در حالت سکون باشد (خطوط بازخورد ولتاژی نداشته باشند.) امکان ایجاد چند مسابقه (Race) بحرانی و غیر بحرانی در این مدار وجود دارد؟ ساده سازی، جبر بول، گیت‌ها

7

1فقط یک مسابقه بحرانی
2فقط یک مسابقه غیر بحرانی
3یک مسابقه بحرانی و یک مسابقه غیربحرانی
4 دو مسابقه غیربحرانی
در این نمودار برخلاف عادی همیشگی، ورودی­‌ها سطرها هستند و حالت­‌ها (بازخوردها) ستون­‌ها می‌­باشند. زیرا با کمی دقت متوجه می‌­شویم که حالت­‌های مشابه‌­ای که دور آن خط کشیده شده است (یعنی حالت‌­های پایدار) با شماره ستون‌­ها هم‌خوانی دارد. مدار در حالت سکون (00) است پس ورودی یا (00) است و یا (۱۱) . اگر ورودی به (01) تغییر کند حالت باید به (۱۱) عوض شود. لذا چون هر دو بیت حالت تغیر می‌­کند یک مسابقه است ولی از آنجا که همه حالت‌­ها در سطر (01) برابر (۱۱) است لذا نتيجه هرچی باشد حالت بعدی (۱۱) می­‌شود و لذا بحرانی نیست و اگر ورودی (یعنی سطر) به (10) تغیر کند حالت بعدی (01) می­‌شود و چون فقط یک بیت با حالت (00) اولیه فرق دارد لذا اصلاً مسابقه نیست.
آسان در مدار زیر برای رفع مخاطره، چند جمله به تابع $f$ می‌­بایست اضافه شود؟ $f(a,b,c,d)$ ساده سازی، جبر بول، گیت‌ها

8

1 2
23
34
4 مخاطره ندارد

پس از دسته­‌بندی عادی جدول کارنو، سه دسته دیگر نیز برای رفع مخاطره می‌­بایست اضافه گردد که هر دسته برابر با یک جمله است.

9

متوسط به ازای توابع $f_1$ و $f_3$ که مقادیر آن‌ها در زیر آمده، تابع $f_2$ برابر کدام مورد زیر است؟ ساده سازی، جبر بول، گیت‌ها \[\begin{array}{l} {f_{1} (a,b,c,d)=\sum m(\circ ,3,5,8,11,15) } \\ {f_{3} (a,b,c,d)=f_{1} \, \oplus f_{2} =\sum m(\circ ,1,5,7,9,11,14) } \end{array}\] 
1 $ f_{2} (a,b,c,d)=\sum m(\circ ,5,11) $
2$ f_{2} (a,b,c,d)=\sum m(1,7,9,14) $
3 $f_{2} (a,b,c,d)=\sum m(1,3,7,8,9,14,15) $
4 $ f_{2} (a,b,c,d)=\sum m(\circ ,2,4,5,6,10,11,12,13)$

ابتدا جدول کارنو $f_{1}$ را رسم می کنیم و خانه هایی که در آن $f_{3}$ مقدار ۱ دارد را با دایره مشخض می کنیم:

10

در خانه هایی که مخص شده اند باید مقدار $f_{2}$ مخالف $f_{1}$ باشد تا در آن اعداد، مقدار $f_{3}=f_{1}\oplus f_{2}$ یک شود. در بقیه اعدا نیز باید با $f_{1}$ یکی باشد. پس جدول کارنو زیر را برای آن می توان بدست آورد:

11

پس داریم:

$f_{2}(a,b,c,d)=\sum m(1,3,7,8,9,14,15)$

آسان کدام‌یک از گزینه‌های زیر معرّف مدار مقابل می‌باشد؟  ساده سازی، جبر بول، گیت‌ها

12

1$ f=AB+\overline{A}C$
2 $ f=A+BC$
3 $ f=\overline{A}B+AC$
4$f=\overline{A}+B+C$

حل تشریحی این تست را می‌توانید در تست 23 نکته و تست مدار منطقی استاد رضوی مشاهده کنید.

آسان تابع $F=\overline{A}\overline{B}C+A\overline{B}\overline{C}+ABC$  برحسب حاصل‌ضرب مجموع‌ها کدام است؟  ساده سازی، جبر بول، گیت‌ها
1$ (A+B+C)(A+\overline{B}+C)(A+\overline{B}+\overline{C})(\overline{A}+B+\overline{C})(\overline{A}+\overline{B}+C)$
2 $ (\overline{A}+\overline{B}+\overline{C})(\overline{A}+B+C)(A+\overline{B}+C)(A+\overline{B}+\overline{C})(\overline{A}+B+\overline{C})$
3 $ (\overline{A}+\overline{B}+\overline{C})(\overline{A}+B+C)(A+\overline{B}+\overline{C})(\overline{A}+B+\overline{C})(\overline{A}+\overline{B}+C)$
4 $(A+B+C)(\overline{A}+B+C)(A+\overline{B}+\overline{C})(\overline{A}+B+\overline{C})(\overline{A}+\overline{B}+C)$

حل تشریحی این تست را می‌توانید در تست 14 نکته و تست مدار منطقی استاد رضوی مشاهده کنید.

آسان برای تابع ساده سازی، جبر بول، گیت‌ها

$f(A,B,C,D,E)=\sum m(1,4,9,11,13,15,17,19,22,25,27,29,30,31)+\sum d(3,12,20)  $ 

کدام گزینه ساده شده زیر صحیح است؟

1$f(A,B,C,D,E)=\overline{B}\overline{E}+\overline{C}E+\overline{A}C\overline{D}\overline{E}+ACD\overline{E}$
2$ f(A,B,C,D,E)=BE+\overline{C}E+\overline{A}C\overline{D}\overline{E}+ACD\overline{E}$
3 $f(A,B,C,D,E)=BE+\overline{C}E+\overline{A}C\overline{D}\overline{E}+\overline{A}CD\overline{E}$
4 $ f(A,B,C,D,E)=\overline{B}\overline{E}+\overline{C}E+AC\overline{D}\overline{E}+ACDE$

حل تشریحی این تست را می‌توانید در تست 5 نکته و تست مدار منطقی استاد رضوی مشاهده کنید.

نمونه سوالات epi و pi درس مدار منطقی

آسان به جای گیت OR، چه گیتی قرار دهیم که عملکرد مدار زیر تغییر نکند؟PI و EPI 82
1XOR
2XNOR
3AND
4NAND

ابتدا جدول صحت مدار را رسم می­‌کنیم. دقت کنید که حاصل جمع a و b را با s(a,b)، رقم نقلی آن را با c(a,b) و به همین ترتیب برای c و d نام‌گذاری کرده‌­ایم. حاصل جمع s(a,b) و c(a,b) را نیز با او s' و c' و همچنین s(c,d) و c(c,d) را با "s و "c نمایش می­‌دهیم.

$c^{\mathrm{'}}\mathrm{+}s^{\mathrm{''}}$ $s^{\mathrm{''}}\mathrm{\ }c^{\mathrm{''}}$ $s^{\mathrm{'}}\mathrm{\ }c^{\mathrm{'}}$ s(c,d)  c(c,d) s(a,b)  c(a,b) cd ab
0 0    0 0    0 0     0 0     0 00 00
1 0    1 0     1 0     1 0     1 01 01
1 0    1 0     1 0     1 0     1 10 10
1 0    1 0     1 1     0 1     0 11 11

حال اگر به جای OR در رابطه $c^{\mathrm{'}}\mathrm{+}s^{\mathrm{''}}$  ، XOR قرار گیرد، نتیجه تغییری نخواهد کرد.

دشوار مدار ترتیبی زیر متشکل از یک شمارنده‌ی بالا شمار 4 بیتی، یک واحد PENC 2/4 (با اولویت بیشتر برای عدد کوچکتر) و یک فلیپ‌فلاپ JK می‌باشد. اگر مدار حالت اولیه صفر قرار داشته باشد، تا لبه‌ی بالارونده ششم کلاک مقدار Q چند بار یک خواهد شد؟PI و EPI 83
1یک
2دو
3 سه
4 چهار

وضعیت مدار در طی شش کلاک به صورت زیر می‌باشد:

84

آسان در SOP داده شده زیر کدام Product Termها حتماً می‌بایست در حل مدار شامل شود؟ (Essential Prime Implicant هستند). PI و EPI

$W(a,b,c,d)\, =\, \sum m(4,6,7,8,9,11,14,15) $ 

1$bc,a\overline{b}d,a\overline{b}\overline{d}$
2$bc,a\overline{b}\overline{c},acd$
3$bc,a\overline{b}\overline{c},\overline{a}b\overline{d}$
4$bc,a\overline{b}\overline{c},a\overline{b}\overline{d},a\overline{b}d$

برای پیدا کردن EPI ابتدا جدول کارنو را می‌کشیم . 

سپس تمام دسته هایی که بزرگترین دسته ممکن شامل minterm ها هستند و به داخل دسته بزرگتری نيستند را رسم می‌کنیم و دورشان در جدول کارنو دایره می‌کشیم.

13

می‌بینیم تعداد این دسته ها، 5 دسته شد. اگر سوال در مورد PI ها سوال می‌کرد این 5 دسته، PIهای ما بودند ولی سوال در مورد EPI ها است. پس به دنبال 1هاي تنها در جدول کارنو می گردیم. 1 تنها يعني 1اي که فقط در یک دایره وجود دارد.

مشاهده می‌کنیم که minterm 5 و 7 و 8 و 14 یک تنها هستند ولی به علت اینکه 7 و 14 در یک دایره بزرگ 4تایی وجود دارند یکی به حساب می‌آیند $\longleftarrow$ این تابع 3 EPI دارد.

صورت سوال و گزینه ها خود این EPI ها را خواسته پس می‌آییم دسته های در این 1هاي تنها با EPIها را مشخص می کنیم که برابر $a\overline{b}\overline{c}$ و $bc$ و $\overline {a}b \overline{d}$ هستند $\longleftarrow$ گزینه 3 پاسخ تست است.

متوسط خروجی تابع زیر کدام عبارت است؟ PI و EPI

14

1 $\overline{a}\ \overline{c}+\overline{a}\overline{b}+\overline{b}\overline{c}$
2$\overline{a}\ \overline{c}+\overline{a}\ b+\overline{b}\overline{c}$
3$XOR(a,b,c)$
4 $XNOR(a,b,c)$

ابتدا خروجی sum تمام جمع­‌کننده را در جدول صحت مشخص می­‌کنیم. سپس $\overline{a}\overline{b}\overline{c}$ را به آن اضافه نموده و رأی اکثریت این پنج بیت را در قالب out نمایش می­‌دهیم. در نهایت می‌­بایست براساس abc و خروجی out، جدول کارنو را دسته­‌بندی و جملات حاصل از آن را بنویسیم.

15
out 'a   b'  c' ss a  b  c 
1
1
1
0
1
0
0
0
1   1   1
0   1   1
1   0   1
0   0   1
1   1   0
0   1   0
1   0   0
0   0   0
 
00
11
11
00
11
00
00
11
    
0  0  0
1  0  0
0  1  0
1  1  0
0  0  1
1  0  1
0  1  1
1  1  1
آسان در شکل زیر اگر $f(a,b,c,d)=\sum{m(0\mathrm{,\ }\mathrm{1}\mathrm{,\ }\mathrm{7}\mathrm{,\ }\mathrm{9}\mathrm{,\ }\mathrm{11}\mathrm{,\ }\mathrm{12}\mathrm{,\ }\mathrm{15})}$ باشد، $I_{\mathrm{3}}$ کدام است؟ PI و EPI

16

1 $C+d$
2${(c+d)}^{\textrm{´}}$
3$\overline{c}d+c\overline{d}$
4$\overline{c}\overline{d}+cd$

$I_{\mathrm{3}}$ زمانی به خروجی منتقل می­‌شود که خطوط select برابر با 11 باشد. در نتیجه هنگامی که a و b برابر با 1 باشد، آن­گاه $I_{\mathrm{3}}=XNOR(c,d)=\overline{c}\overline{d}+cd$ خواهد بود.

17

آسان تابع خروجی مدار زیر، مطابق با کدام گزینه است؟ PI و EPI

18

1$\overline{a}\overline{b}c\mathrm{+}a\overline{b}c\mathrm{+}ab\overline{c}$
2 $\overline{a}\overline{b}\overline{c}\mathrm{+}a\overline{b}c\mathrm{+}abc$
3$\overline{b}c\mathrm{+}ac$
4$\overline{b}\overline{c}\mathrm{+}\overline{a}b\mathrm{+}b\overline{c}$

کافی است جدول صحت مدار را رسم کرده، خروجی را مشخص و در نهایت ساده­‌سازی نمایید:

19
(a,b,c)F a    b    c
0
1
0
0
0
1
0
1
0     0    0     
1     0    0     
0     1     0     
1     1     0     
0     0     1     
1     0     1     
0     1     1     
 1     1     1     
آسان مدار زیر با استفاده از یک بافر سه حالته ساخته شده است. خروجی out کدام است؟ PI و EPI

20

1$A+\overline{C}\ \overline{D}$
2$AC+AD$
3$B+\overline{C}\ \overline{D}$
4 $BC+BD$

$=\ (C+D).A+(\overline{C+D}).B$ خروجی $f=max$

$out=(C+D).f+(\overline{C+D}).\mathrm{1}$

$=(C+D)\left[(C+D).A+(\overline{C+D}).B\right]+(\overline{C+D}).\mathrm{1}$

$=(C+D).A+\ \circ +(\overline{C+D})$

قاعده شبه جذب:

$=A+(\overline{C+D})=A+\overline{C}\ \overline{D}$

آسان خروجی مدار زیر برابر با کدام مورد است؟ PI و EPI

21

1$a\bigoplus b$
2 $a\bigodot b$
3$a$
4$b$

کافی است جدول صحت را رسم کرده و به ازای ورودی‌­ها، خروجی را مشخص نمائید:

22

نمونه سوالات هازارد درس مدار منطقی

متوسط در مدار زیر اضافه کردن کدام گیت باعث از بین رفتن potential hazard خواهد شد ؟ هازارد و دیاگرام‌های زمانی خروجی مدارها

23

1$AND:\overline{a}.c$
2$NAND:\overline{\overline{a}.c}$
3$NOR=\overline{\overline{a}+b}$
4 $NAND:\overline{\overline{b}.a}$

2‌- ابتدا مدار داده شده را به صورت ضرب ماکسترم‌ها می‌نویسیم و تابع زیر به دست می‌آید:

$F_{(a,\ b,c)}=(a+b)(\overline{b}+\overline{c})$

حال تابع به دست آمده را در جدول کارنو وارد کرده.

24

سپس صفرهای مجاور در دسته‌ها مختلف را نوشته و در یک دسته قرار می‌دهیم صفرهای مجاور abc=001 و abc = 011 هستند که می‌توانیم به صورت مقابل بنویسیم:

$w=(a+\overline{c})=\overline{\overline{a}c}$

که در نتیجه گزینه 2 صحیح است.

متوسط در مدار زیر کدام Transitionها باعث Hazard می‌شود؟ (لزوماً همه Transitionها گفته نشده است.)  هازارد و دیاگرام‌های زمانی خروجی مدارها

25

1 $\circ \circ \circ 1\, \leftrightarrow \, \circ \circ 11\, ,\, \circ 1\circ \circ \, \leftrightarrow \circ \circ \circ \circ ,1111\, \leftrightarrow \, 1\circ 11$
2 $\circ \circ \circ 1\, \leftrightarrow \, \circ 1\circ 1\, ,\, \circ 1\circ \circ \, \leftrightarrow \circ \circ \circ 1,1111\, \leftrightarrow \, \circ 111$
3 $\circ \circ \circ 1\, \leftrightarrow \, \circ 1\circ 1\, ,\, \circ 1\circ \circ \, \leftrightarrow 11\circ \circ ,1111\, \leftrightarrow \, 1\circ 1\circ $
4 $\circ \circ \circ 1\, \leftrightarrow \, \circ \circ \circ \circ \, ,\, \circ 1\circ \circ \, \leftrightarrow \circ 1\circ 1,1111\, \leftrightarrow 11\circ 1$

مواردی که در صورت سوال مشخص نشده و باید مشخص می‌کرد. ترتیب متغیرها را نداریم و همچنین تاخیر gate ها و آیا اینکه gate ، و not تاخیر دارد؟

ما در این جا طبق نکاتی که داشتیم باید بررسی کنیم آیا ورودی ای هست که میزان تاخیرش از مسیرهای مختلف، 0 تخلف شود و اگر همچین ورودی بود ، امکان وقوع hazard را دارد.

ما نکته ای دیگر داشتیم که در کنکور کارشناسی ارشد، فقط در یک متغیر تغییر باید داشته باشیم. و تغییر 2 متغیر که باعث hazard شود را بررسی نمی‌کنیم.

در حالی که گزینه 2 $0100 \leftrightarrow 0001 \leftarrow $ با تغییر 2 متغیر در گزینه های موجود است که این باعث رد گزینه ها می‌شود.

و گزینه 3 $1111 \leftrightarrow 1010 \leftarrow $

اگر ترتیب متغیر ها به ترتیب (چپ به راست) a,b,c,d  باشند در این صورت گزینه 1 هم رد می‌شود چون ما در شکل مدار اصلا b نداریم که بخواهد hazard ایجاد کند ولی در گزینه 1 : $1111 \leftrightarrow 1011 $

abcd       abcd

تغییر b داریم و این گزینه هم رد است. و با رد گزینه به درستی گزینه 4 می‌رسیم.

ولی این گزینه اگر تاخیر گیت، not را در نظر نگیریم غلط می‌شود چون در این صورت ورودی c نباید hazard داشته باشد. 

$\Rightarrow$ گزینه 4 در صورتی درست می‌شود که گیت not تاخیر داشته باشد.

آسان معادله بولین تابع f به صورت حاصل جمع حاصل ضرب‌ها با حداقل تعداد گیت و حداقل هازارد کدام است؟  هازارد و دیاگرام‌های زمانی خروجی مدارها

\[f(a,b,c,d)=\sum m(\circ ,8,9,10)+\sum d(2,6,11,13,14,15)  \] 

1$\overline{b}.\overline{d}+a.\overline{b}$
2 $\overline{b}.\overline{d}+a.d$
3 $\overline{b}.\overline{d}+a.d+a.\overline{b}$
4 $\overline{b}.\overline{d}+a.c+a.d$

ابتدا جدول کارنو را برای تابع رسم می کنیم:

26

همانطور که مشخص است معادله بدست آمده از این جدول به این صورت است:

$f(a,b,c,d)=\overline{b}.\overline{d}+a.\overline{b}$

آسان در شکل زیر مدار یک فلیپ فلاپ نوع D را مشاهده می­‌کنید. سیگنال ورودی reset بصورت active low و آسنکرون بوده و مدار حساس به falling edge كلاک است. خروجی فلیپ فلاپ در لحظات $t_{\mathrm{3}},t_{\mathrm{2}},t_{\mathrm{1}}$ کدام است؟ (مقدار اوليه خروجی صفر است) هازارد و دیاگرام‌های زمانی خروجی مدارها

27

1 $Q(t_{\mathrm{1}}t_{\mathrm{2}}t_{\mathrm{3}})=\mathrm{101}$
2 $Q(t_{\mathrm{1}}t_{\mathrm{2}}t_{\mathrm{3}})=\mathrm{110}$
3 $Q(t_{\mathrm{1}}t_{\mathrm{2}}t_{\mathrm{3}})=\mathrm{100}$
4 $Q(t_{\mathrm{1}}t_{\mathrm{2}}t_{\mathrm{3}})=\mathrm{010}$

مقدار D در لبه­‌های پایین رونده کلاک به Q متصل می­‌شود.

از طرف دیگر مقدار in با Q قبلی Xor می‌­شود و در اختیار D قرار می‌­گیرد.

28

آسان دیاگرام حالت مدار ترتیبی شکل زیر کدام است؟ (ماشین حالت moore است و خروجی بصورت $y_{\mathrm{1}}y_{\mathrm{2}}$ است.) هازارد و دیاگرام‌های زمانی خروجی مدارها

29

1 30
2 31
3 32
4 33

$D_{\mathrm{1}}=x\oplus y_{\mathrm{1}}\oplus y_{\mathrm{2}}$ = حالت بعدی $y_{\mathrm{1}}$

$\mathrm{=}D_{\mathrm{2}}=\overline{y_{\mathrm{2}}}$ حالت بعدی $y_{\mathrm{2}}$

با بررسی یک حالت می­‌توان به گزینه مورد نظر رسید:

$=\mathrm{\circ }\mathrm{1}$ حالت بعدی $y_{\mathrm{1}}y_{\mathrm{2}}\mathrm{=}\mathrm{\circ }\mathrm{\circ }\mathrm{\ \ }{{\stackrel{\ \ \ \ \ \ \ \ x=\mathrm{\circ }\ \ \ \ \ \ \ \ }{\longrightarrow}}}\ y_{\mathrm{1}}y_{\mathrm{2}}$

نمونه سوالات هازارد درس مدار منطقی

متوسط عملکرد مدارهای نمایش داده شده در کدام موارد زیر با سایرین متفاوت است؟ مدارات ترکیبی
1 34
235
3 36
437

برای هر گزینه جدول کارنو که عملا همان نقش جدول درستی را در اینجا ایفا می کند رسم می کنیم و جدولی که با بقیه متفاوت باشد پاسخ است.

گزینه ۱: 38
گزینه 2: 39
گزینه 3: 40
گزینه 4: 41
متوسط ورودی‌های $I_0$ تا $I_3$ طوری انتخاب شده‌اند که خروجی mux، پیاده‌سازی تابع f باشد.حداقل گیت‌های دو ورودی لازم برای این کار چه تعداد است؟ (مکمل متغیرهای ورودی در اختیار نمی‌باشند) مدارات ترکیبی

$L_{(x,y,z,t)} =\prod M(\circ ,1,3,5,7)\, ,\, D(2,6,8,12)$

42

1 0
21
32
4 3

ابتدا جدول کارنو را رسم می‌کنیم:

43

حال معادله این جدول را بدست می‌آوریم:

$L_{(x,y,z,t)}=x+y\overline{t}$

همچنین در مورد f می‌دانیم:

$f=\overline{x}\overline{y}I_0+\overline{x}yI_1+x\overline{y}I_2+xyI_3$

حال می‌خواهیم $f=L$ پس می‌گوییم:

$f=L_{(x,y,z,t)}=x(y+\overline{y})+(x+\overline{x})y\overline{t}=\overline{x}\overline{y}0+\overline{x}y\overline{t}+x\overline{y}+xy$

$\Longrightarrow I_0=0,I_1=\overline{t},I_2=1,I_3=1$

پس فقط به یک گیت NOT نیاز داریم.

آسان شکل روبه‌رو، کدام تابع منطقی را پیاده‌سازی کرده است؟ بلوک‌های موجود در شکل ، مولتی پلکسر می‌باشند. مدارات ترکیبی

44

1XNOR
2 XOR
3NAND
4AND

برای حل این سوال از دو روش جدول کارنو و نوشتن معادله آن می‌توان استفاده کرد. روش جدول کارنو ساده تر است ولی از آنجایی که روش استفاده از معادله آموزنده تر است از آن استفاده می‌کنیم. به طور کلی در یک مالتی پلکسر داریم:

45

حال $N_1$ و $N_2$ را در مدار نظر بگیرید:

46

از مدار مقادیر $N_1$ و $N_2$ را بدست می‌آوریم:

${\mathrm{N}}_{\mathrm{1}}\mathrm{=}\overline{\mathrm{B}}\overline{\mathrm{C}}\mathrm{+B0=}\overline{\mathrm{B}}\overline{\mathrm{C}}$

${\mathrm{N}}_{\mathrm{2}}\mathrm{=}\overline{\mathrm{B}}\mathrm{0+BC=BC}$

حال F را بدست می‌آوریم:

$\mathrm{F=}\overline{{\mathrm{N}}_{\mathrm{2}}}{\mathrm{N}}_{\mathrm{1}}\mathrm{+}{\mathrm{N}}_{\mathrm{2}}{\mathrm{N}}_{\mathrm{2}}\mathrm{=}\overline{{\mathrm{N}}_{\mathrm{2}}}{\mathrm{N}}_{\mathrm{1}}\mathrm{+}{\mathrm{N}}_{\mathrm{2}}\mathrm{=(}\overline{\mathrm{B}}\mathrm{+}\overline{\mathrm{C}}\mathrm{)(}\overline{\mathrm{B}}\overline{\mathrm{C}}\mathrm{)+BC=}\overline{\mathrm{B}}\overline{\mathrm{C}}\mathrm{+BC=B}\mathrm{\odot }\mathrm{C}$

پس گزینه 1 صحیح است.

متوسط کدام گزینه تابع خروجی مدار شکل مقابل را نمایش می‌دهد؟ مدارات ترکیبی

47

1$z=1$
2 $z=A+\overline{B}\overline{C}$
3 $z=\overline{B}\overline{C}+\overline{B}A$
4$z=A\overline{B}+B\overline{C}$

ما دو نکته را به خاطر داریم. برای محاسبه خروجی گیت بافر 3 حالت به شکل رو به رو خروجی برابر حاصل ضرب آنها  می‌شود . 

( این نکته در صورتی قابل استفاده است که ورودی بافرهای 3 حالته که خروجی آنها به هم وصل هستند ، not یکدیگر باشند که در این سوال این نکته صادق است.)

و به ازای بافر 3 حالت به شکل رو به رو خروجی u در not ، u می‌شود

حال به حل سوال می‌پردازیم. خروجی هر gate را بالای سیم مربوط به آن می‌نویسیم .

48

49

آسان شکل مقابل از دو دیکودر دو ورودی تشکیل شده که دارای active-low enable می‌باشند. خروجی‌های دیکودرها نیز active-low (فعال- پایین) هستند. تابع خروجی صحیح کدام است؟ مدارات ترکیبی

50

1 $f(a,b,c)=\sum m(1,3,6)$
2 $f(a,b,c)=\sum m(2,5,7)$
3$f(a,b,c)=\sum m(_{{}^\circ } ,1,3,4,6)$
4$f(a,b,c)=\sum m(_{{}^\circ } ,2,4,5,7)$

دو روش برای حل این سوال وجود دارد:

روش 1 – سیگنال‌دهی: برای سادگی و همچنین رد گزینه، ابتدا به ازای ورودی‌های $(a,~b,~c) = (0,~0,~0)$ خروجی مدار را محاسبه می‌کنیم. در این حالت چون پایه $en$ دیکدر پایینی غیر فعال است در نتیجه این دیکدر خاموش بوده و به دلیل $Active~Low$ بودن پایه‌ها، همه خروجی‌های آن برابر یک است. دیکدر بالا فعال بوده و چون ورودی‌های آن صفر است، تنها پایه شماره $0$ آن فعال است (یعنی برابر $0$ است) همانطور که از شکل زیر مشخص است، خروجی برابر یک می‌شود (رد گزینه‌های $1$ و $2$)

51

گزینه‌ی $3$ و $4$ به ازای ورودی $2$ باهم یک اختلاف دارند در نتیجه این سیگنال را به ورودی می‌دهیم تا خروجی محاسبه شود:

52

همان‌طور که از شکل بالا مشخص است، ورودی $2$ نیز باعث $1$ شدن خروجی می‌شود.(رد گزینه $3$)

روش2 – حل معمولی: می‌خواهیم عبارت جبر بول هر پایه دیکدر را بنویسیم. دیکدر بالایی به ازای $a = 0$ فعال می‌شود و دیکدر پایینی به ازای $a = 1$ فعال می‌شود. انگار که ما یک دیکدر $3×8$ داریم که $a$ باارزش‌ترین ورودی آن است. در نتیجه می‌توان پایه‌ها را به صورت زیر نوشت و سپس خروجی را محاسبه کرد:

53

آسان مدار یک مالتی پلکسر چهار به یک (1×4×Mu) به فرم زیر بسته شده است. خروجی این مدار به ازای چه ترکیباتی از ورودی‌های ABCD برابر 1 می‌گردد؟ مدارات ترکیبی

54

115، 12، 8، 6، 1، 0
214، 12، 10، 8، 6، 2، 1
3 15، 14، 13، 7، 5، 3، 1
414، 12، 8، 2، 1

دو روش برای حل این سوال وجود دارد:

روش 1 – سیگنال‌دهی:برای سادگی و همچنین رد گزینه، ابتدا به ازای ورودی‌های $(a,~b,~c) = (1,~1,~1)$ خروجی مدار را محاسبه می‌کنیم.

55

با توجه به اینکه خروجی به ازای ورودی 15 برابر صفر شد پس گزینه‌های 1 و 3 رد می‌شوند. اکنون ورودی را برابر 6 قرار می‌دهیم:

56

در نتیجه گزینه 4 نیز رد می‌شود.

روش 2 – حل معمولی:در این روش باید به طور مستقیم تابع خروجی مالتی پلکسر را محاسبه کنیم:

57

حال از روی تابع به‌دست آمده جدول کارنو را رسم کرده تا مینترم‌ها مشخص شوند:

58

$F=\bar{C}\bar{D}A+\bar{C}D\bar{A}\bar{B}+C\bar{D}=\sum m\left(1,~2,~6,~8,~10,~12,~14\right)$

نمونه سوالات تحلیل مدارات ترتیبی درس مدار منطقی

آسان مداری دارای نمودار حالت زیر می‌باشد. اگر این مدار را با فلیپ فلاپ T طراحی نمائیم، ورودی فلیپ فلاپ $T_A$ و $T_B$ و تابع خروجی Y کدام است؟ این ماشین میلی است یا مور؟ تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها

59

1 $Y = \overline A X + B\overline X \,\,\,\,\,{T_B} = \overline B \,\,\,\,\,{T_A} = B + \overline A \overline X $، مور
2 $Y = AX + \overline B \overline X \,\,\,\,\,{T_B} = B + \overline X \,\,\,\,\,{T_A} = A\overline B \overline X $، میلی
3$Y = AX + B\,\,\,\,\,X\,\,\,\,\,\,{T_B} = B + \overline A \overline X \,\,\,\,\,{T_A} = A\,\,\,\,\,\,B$، میلی
4$Y = AX + \overline B \overline X \,\,\,\,\,{T_B} = A + \overline B \,X\,\,\,\,\,\,{T_A} = \overline A \overline X $، مور

نمودار حالتی که در هنگام رفتن از یک حالت به حالت دیگر خروجی می‌دهد ماشین میلی است.

حال برای نمودار می توان جدول کارنو زیر را کشید که روش آن واضح است:

59 60

این جدول برای واضح تر بودن روش رسم آن به صورت ترکیب حالت و خروجی نوشته شد ولی برای حل سوال نیاز است که برای هر مقدار یک جدول جدا رسم کنیم (منظور از A’ و B’ همان A و B جدید است).

با Y که خروجی است شروع می‌کنیم. جدول آن از را جدول بالا استخراج می‌کنیم:

  $\Rightarrow Y = AX+\overline{BX}$
  61

جدول کارنو A’ و B’ به این صورت هستند:

62 63

حال باید $T_A$ و $T_B$ را پیدا کنیم. برای این کار می‌دانیم که جدول درستی یک T فلیپ فلاپ به این صورت است:

64

در این صورت برای به دست آوردن جدول کارنو $T_A$ و $T_B$ باید در جدول کارنو A و B هر کجا که مقدار A یا B عوض شد یک بگذاریم در این صورت یعنی در آن وضعیت $T_A$ یا $T_B$ یک شده بودند که A یا B را تغییر داده بودند. در این صورت جدول زیر بدست می‌آید:

  $\Rightarrow T_A = A\overline{B}\overline{X}$
65
  $\Rightarrow T_B =\overline{X}+B$
66

پس گزینه 2 درست است.

آسان خروجی شمارنده زیر ($Q_AQ_BQ_C$) کدام‌یک از دنباله‌های زیر است؟ توجه نمایید که دو فلیپ فلاپ از نوع T و یک فلیپ فلاپ از نوع D می‌باشد. حالت اولیه صفر می‌باشد. تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها

67

1 1، 6، 5، 2، 7، 3، 1، 0
20، 5، 6، 4، 7، 3، 1، 0
31، 6، 7، 5، 3، 1، 0
41، 3، 5، 7، 6، 4، 2، 0

در این سوال از فلیپ فلاپ T و فلیپ فلاپ D استفاده شده. در مورد این دو فلیپ فلاپ می‌دانیم که به این صورت کار می‌کنند:

68

در این صورت از مدار واضح است که همچین دنباله ای طی می‌شود:

$Q_AQ_BQ_C=000\to 001\to 011\to 111\to 010\to 101\to 110\to 001$

پس گزینه 1 درست است.

متوسط شکل مقابل، نمایشگر یک ماشین نوع Moore است که خروجی در داخل حالت‌ها نوشته‌ شده است. به فرض آنکه مدار از حالت اولیه $T_o$ شروع به کار می کند، کدام گزینه در‌ مورد این ماشین صحیح است؟ تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها

69

1 در هر لحظه، خروجی ماشین برابر با عکس متمم 2 دنباله ورودی آن می‌باشد.
2 در هر لحظه، خروجی ماشین برابر با متمم 2 $(2’s ~complement)$دنباله ورودی آن می‌باشد.
3 در هر لحظه، خروجی ماشین برابر با XOR کلیه نمونه‌های گرفته‌ شده از ورودی تا آن لحظه می‌باشد.
4 در هر لحظه، خروجی ماشین برابر با XNOR کلیه نمونه‌های گرفته‌ شده از ورودی تا آن لحظه می‌باشد.

با دادن ورودی 1111... خروجی برابر با 1010... خواهد شد. پس گزینه 2 نمی تواند درست باشد. حال اگر ورودی 000... باشد خروجی 000... می‌شود در حالی که اگر گزینه 4 درست بود باید  1111...می‌شد. پس گزینه 3 درست است.

آسان مدار زیر از سه flip-flop از نوع T و گیت‌های XOR ساخته شده، اگر حالت اولیه مدار $\circ\circ\circ$ = ABC باشد، شش حالت بعدی مدار کدام هستند؟ تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها

70

1$ \circ  \circ  \circ \, \to \,11 \circ \, \to  \circ  \circ  \circ \, \to 1 \circ  \circ \, \to 111\, \to  \circ  \circ  \circ  \to $
2 $ \circ  \circ  \circ \, \to \,111\, \to  \circ 1 \circ \, \to  \circ  \circ 1\, \to  \circ  \circ  \circ \, \to 111 \to $
3 $ \circ  \circ  \circ \, \to \,111\, \to  \circ  \circ  \circ \, \to  \circ  \circ 1\, \to  \circ 1 \circ \, \to 111 \to $
4 $ \circ  \circ  \circ \, \to \,111\, \to 1 \circ 1\, \to  \circ  \circ 1\, \to 1 \circ 1\, \to 111 \to $

اگر بخواهیم برای ورودی های هر FF رابطه بنویسیم. به صورت زیر می‌توان نوشت. 

ابتدا باید دقت کنیم FF حساس به لبه منفی هستند و از نوع T. 

در نتیجه می‌توان گفت با 6 کلاک از حالت $ABC = 000$ به چه حالتی می‌رسیم.

$T_c=1$
$T_B=1\oplus Q_c={\overline{Q}}_c$ $T_A={\overline{Q}}_c\oplus Q_B$

71

گزینه 2 صحیح است.

متوسط در شکل زیر ورودی مدار در Timing diagram داده شده و مدار در حالت اولیه a است. در زمان‌های نشان داده شده در این Timing diagram خروجی مدار چه مقدارهایی خواهد‌شد؟ تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها

72

1$z\,\,\,at\,\,\,{t_ \circ }\,\,\,{t_1}\,\,\,{t_2}\,\,\,{t_3}\,\,\,{t_4}\, = \,\, \circ \,\,\,\,1\,\,\,\, \circ \,\,\, \circ \,\,\, \circ $
2 $z\,\,\,at\,\,\,{t_ \circ }\,\,\,{t_1}\,\,\,{t_2}\,\,\,{t_3}\,\,\,{t_4}\, = \,\, \circ \,\,\,\, \circ \,\,\, \circ \,\,\,1\,\,\,\,1$
3 $z\,\,\,at\,\,\,{t_ \circ }\,\,\,{t_1}\,\,\,{t_2}\,\,\,{t_3}\,\,\,{t_4}\, = \,\, \circ \,\,\,\, \circ \,\,\, \circ \,\,\,1\,\,\, \circ $
4 $z\,\,\,at\,\,\,{t_ \circ }\,\,\,{t_1}\,\,\,{t_2}\,\,\,{t_3}\,\,\,{t_4}\, = \,\, \circ \,\,\,\,1\,\,\,\, \circ \,\,\, \circ \,\,\,1$

این نمودار کشیده شده در صورت سوال دیاگرام میلی است. در نتیجه خروجی به مقدار ورودی وابسته است. 

حال می‌آییم مشخص می‌کنیم بعد از هر clock ما در چه حالتی از این نمودار حالت هستیم و خروجی چه مقداری دارد. 

در ابتدا طبق گفته سوال در حالت a هستیم . برای مشخص شدن ورودی می‌آییم شکل موج clock را با خط های عمودی می‌شکنیم تا هر دوره تناوب مشخص شود.

چون سوال نگفته است که با لبه مثبت یا منفی clock حالت عوض می‌شود ما پیش فرض لبه + در نظر می‌گیریم.
73

حال میاییم به ترتیب در هر دوره تناوب از CLK مشخص می‌کنیم ما در چه حالتی هستیم. می‌دانیم قبل آمدن اولین لبه clock در حالت a هستیم، سپس با آمدن اولیه لبه، ورودی مان 0 است پس همچنان در حالت a می‌مانیم با آمدن لبه دوم w ما 1 است پس طبق نمودار حالت به حالت b می‌رویم. سپس با آمدن لبه 3 ام ، w ما ، 1 است پس از حالت b به حالت c می‌رویم . در کلاک چهارم، w ما يک است پس به حالت d می‌رویم مجدد در حالت d با رسیدن لبه کلاک با w=1 به حالت b می‌رویم و سپس از حالت b به ترتیب به حالت های c و d و c می‌رویم. حال برای محاسبه خروجی در زمان $t_0$ تا $t_4$ مشخص شده کافی است ببینیم ما در آن زمان در چه حالتی هستیم. و سپس با نگاه به نمودار حالت (دیاگرام) ببینیم در آن حالت به ازای ورودي که می‌آید طبق شکل مرجع چه خروجی تولید خواهد شد. اگر برای $t_1$ را نگاه کنیم می‌بینیم که در این زمان در حالت d با ورودی 1 هستیم. طبق دیاگرام در حالت d با ورودی 1، خروجی 1 خواهد شد پس گزینه 2 و 3 رد می‌شوند.

حال با بررسی زمان $t_4$ می‌بینیم در این زمان حالت c هستیم با ورودی 0 ، پس مجدد به دیاگرام حالت نگاه کرده می‌بینیم که خروجی باید 0 شود پس گزینه 4 هم رد است و گزینه 1 پاسخ درست ما است. $za^t \ \ \ t_0t_1t_2t_3t_4 = 0.1000 \Leftarrow$

74

آسان جدول حالت زیر را در نظر بگیرید. پس از کاهش حالات، جدول حاصل چند حالت خواهد داشت؟ تحلیل مدارات ترتیبی و پارامترهای زمانی فلیپ فلاپ‌ها
X  
1 0  
A/0 B/1 A
A/0 B/1 B
E/1 B/0 C
E/1 A/1 D
E/1 C/0 E
E/1 B/1 F
16
25
34
4 3

از روش افراز برای کاهش حالات استفاده می‌کنیم. زیرا حالت بی­‌اهمیت نداریم. روش کار بدین­‌صورت است که ابتدا کل حالات را به عنوان $P_{\mathrm{\circ }}$ در نظر می­‌گیریم. سپس آن دسته از حالات را که خروجی یکسان دارند را در یک دسته قرار می­‌دهیم. در گام بعد دسته­‌های حاصل را از نظر حالاتی که پس از خواندن  0 و 1 به آن می­‌روند، بررسی می­‌کنیم اگر حاوى عضوهایی باشند که در دو دسته مجزا قرار می‌­گیرد، به منزله عدم قرارگیری در یک گروه خواهد بود و در غیر این صورت آنها را در یک دسته قرار می‌­دهیم. این عمل را تا زمانی انجام می­‌دهیم که مرحله nام با مرحله ۱- ام، برابر شود. بنابراین خواهیم داشت:

$P_0=ABCDEF$
$P_{\mathrm{1}}=(AB)(CE)(DF)$ $P_{\mathrm{2}}=(AB)(C)(E)(DF)$ $P_{\mathrm{3}}=(AB)(C)(E)(DF)$

در این سوال ابتدا CE ،­AB و DF در یک دسته قرار می­‌گیرند. زیرا با خواندن 0 و ۱، خروجی‌­های مشابه تولید می­‌کنند. در گام بعد A و B در یک دسته قرار می­‌گیرند. زیرا با خواندن 0 به حالت B و با خواندن 1 به حالت A انتقال می‌­یابند و چون در $P_{\mathrm{1}}$ ، Aو B در یک دسته قرار دارند، همچنان AB در یک دسته می‌­مانند. C و E  در یک دسته قرار نمی­‌گیرند زیرا با خواندن 0 به BC (دو دسته جدا از هم در $P_{\mathrm{1}}$) و با خواندن 1 به BE ( باز هم دو دسته جدا از هم در $P_{\mathrm{1}}$) انتقال می‌­یابند. در نتیجه C و E را از یکدیگر جدا می­‌کنیم. DF نیز در یک دسته هستند. زیرا با خواندن 0 به AB (یک دسته همسان در $P_{\mathrm{1}}$) و با خواندن 1 به E انتقال می‌­یابند. در نهایت نیز پس از تساوی $P_{\mathrm{2}}$ و $P_{\mathrm{3}}$ چهار حالت باقی خواهد ماند.

نمونه سوالات مدارهای ترتیبی درس مدارهای منطقی

آسان در شکل زیر اگرفرکانس کلاک برابر F باشد، آنگاه فرکانش خروجی کدام است؟ ساخت مدارات ترتیبی

75

1 $F$
2 $0.5F$
3$0.33F$
4 $2F$

76

هنگامی که شمارنده 0 است out = 1 در پالس بعدی که شمارنده 1 می­‌شود out = 0 خواهد شد و به همین صورت.

آسان نمودار حالت یک ماشین ترتیبی نوع Moore در شکل زیر نمایش داده شده که a ورودی مدار است و خروجی فلیپ‌فلاپ‌های بیانگر حالت مدار به صورت  $q_1q_0$ در داخل حالت ها نوشته شده است. اگر این ماشین  حالت را با دو فلیپ فلاپ نوع D پیاده سازی کنیم، توابع ورودی فلیپ فلاپ ها مطابق کدام گزینه خواهد بود؟  ساخت مدارات ترتیبی

77

1$D_{1} =q_{1} \overline{q_{{}^\circ } }+\overline{q_{1} }a\, \, \, ,\, \, \, D_{{}^\circ } =\overline{q_{1} }a+q_{{}^\circ } \overline{a}$
2 $D_{1} =\overline{q_{1} }q_{{}^\circ } +q_{1} \overline{a}\, \, \, ,\, \, \, D_{{}^\circ } =q_{{}^\circ } \overline{a}+\overline{q_{{}^\circ } }a$
3 $D_{1} =q_{1} \overline{q_{{}^\circ } }+q_{1} \overline{a}\, \, \, ,\, \, \, D_{{}^\circ } =q_{1} \overline{a}+\overline{q_{1} }a$
4$D_{1} =\overline{q_{1} }q_{{}^\circ } +q_{1} \overline{a}\, \, \, ,\, \, \, D_{{}^\circ } =q_{1} \overline{a}+\overline{q_{1} }a$

ابتدا جدول کارنو را برای دو خروجی رسم می کنیم:

78

از این دو جدول کارنو واضح است که گزینه 4 صحیح است.

دشوار نمودار حالت مقابل را با استفاده از دو فلیپ‌فلاپ D پیاده‌سازی می‌کنیم. تابع ورودی فلیپ‌فلاپ مربوط به بیت با ارزش بیشتر را مولتی‌پلکسر $MUX_1$ و تابع ورودی فلیپ‌فلاپ دیگر را $MUX_0$ می‌سازد. کدام گزینه ورودی‌های مولتی‌پلکسرها را مشخص می‌کند؟ ورودی‌های $Select _0$ و $Select _1$ مربوط به مالتی‌پلکسرها را به ترتیب $Q_0$  و $Q_1$ وصل می‌کنیم.  ساخت مدارات ترتیبی

79

1$\begin{array}{l} {MUX_{1} \, \, :\, \, I_{{}^\circ } =x_{\, } \, \, \, \, I_{1} =\overline{x}\, \, \, \, \, \, \, \, \, \, \, I_{2} =\overline{x}\, \, \, \, \, I_{3} =\overline{x}} \\ {MUX_{{}^\circ } \, \, :\, \, I_{{}^\circ } =\overline{x}_{\, } \, \, \, \, I_{1} =x\, \, \, \, \, \, \, \, \, \, \, I_{2} =1\, \, \, \, \, I_{3} =\overline{x}} \end{array}$
2 $\begin{array}{l} {MUX_{1} \, \, :\, \, I_{{}^\circ } =_{{}^\circ } {}_{\, } \, \, \, \, I_{1} =_{{}^\circ } \, \, \, \, \, \, \, \, \, \, I_{2} =x\, \, \, I_{3} =\overline{x}} \\ {MUX_{{}^\circ } \, \, :\, \, I_{{}^\circ } =x_{\, } \, \, \, \, I_{1} =\overline{x}\, \, \, \, \, \, \, \, \, \, \, I_{2} =1\, \, \, \, \, I_{3} =1} \end{array}$
3$\begin{array}{l} {MUX_{1} \, \, :\, \, I_{{}^\circ } =_{{}^\circ } \, \, \, \, I_{1} =x\, \, \, \, \, \, \, \, \, \, \, I_{2} =x\, \, \, \, \, I_{3} =\overline{x}} \\ {MUX_{{}^\circ } \, \, :\, \, I_{{}^\circ } =x_{\, } \, \, \, \, I_{1} =\overline{x\, }\, \, \, \, \, \, \, \, \, \, I_{2} =1\, \, \, \, \, I_{3} =\overline{x}} \end{array}$
4$\begin{array}{l} {MUX_{1} \, \, :\, \, I_{{}^\circ } =x\, \, \, \, I_{1} =\overline{x}\, \, \, \, \, \, \, \, \, \, \, I_{2} =1\, \, \, \, \, I_{3} =\overline{x}} \\ {MUX_{{}^\circ } \, \, :\, \, I_{{}^\circ } =1_{\, } \, \, \, \, I_{1} =x\, \, \, \, \, \, \, \, \, \, \, I_{2} =x\, \, \, \, \, I_{3} =\overline{x}} \end{array}$

با توجه به ماشین حالت داده شده، اگر در حالت $Q_1Q_0\ =\ 00$ باشیم و ورودی صفر باشد، آنگاه حالت بعدی مجدد $Q_1Q_0\ =\ 00$ است. از آنجایی که پایه‌های انتخابگر مالتی پلکسرها $Q_1Q_0$ هستند پس به ازای $Q_1Q_0\ =\ 00$ باید $I_0$ به عنوان ورودی باشد و چون حالت بعدی هر دو $FF$ برابر صفر شده پس $I_0$ هر دو مالتی پلکسر در این حالت باید برابر صفر شود.(رد گزینه‌های 1 و 4)

اگر در حالت $Q_1Q_0\ =\ 11$ باشیم و ورودی یک باشد، آنگاه حالت بعدی $Q_1Q_0\ =\ 00$ است. از آنجایی که پایه‌های انتخابگر مالتی پلکسرها $Q_1Q_0$ هستند پس به ازای $Q_1Q_0\ =\ 11$ باید $I_3$ به عنوان ورودی باشد و چون حالت بعدی هر دو $FF$ برابر صفر شده پس $I_3$ هر دو مالتی پلکسر در این حالت باید برابر صفر شود.(رد گزینه 2)

80

نمونه سوالات کاهش حالات درس مدار منطقی

آسان کدام قطعه کد، مدار شکل زیر را به درستی توصیف می­‌کند؟ کاهش حالات

81

1$assign\ out\ \ =\ c\ \ ?\ (d)\ :\ \mathrm{1}'bx;$ $assign\ t\ \ =\ c\ \ ?\ (a\ \&\ d)\ :\ \mathrm{1}'bz;$
2 $assign\ t\ \ =\ c\ \ ?\ \left(\sim (a\ \&\ d)\right)\ :\ \mathrm{1}'bz;$ $assign\ t\ \ =\ a\ \ ?\ \left(\sim c\right)\ :\ \mathrm{1}'bz$
$assign\ out\ \ =\ \sim \ \ t\ ;$
3$assign\ t\ \ =\ \sim (a\ \&\ d)\ \ ?\ c\ :\ \mathrm{1}'bz;$ $assign\ t\ \ =\ a\ \ ?\ (\sim c)\ :\ \mathrm{1}'bz;$
$not\ \ g\ (out,\ t)\ ;$
4$assign\ t\ \ =\ c\ \ ?\ \left(\sim d\right)\ :\ \mathrm{1}'bz;$ $assign\ t\ \ =\ c\ \ ?\ \left(\sim (a\ \&\ d)\right)\ :\ \mathrm{1}'bz;$
$assign\ out\ \ =\ \sim \ \ t\ ;$

با عدد دهی به متغیرهای abcd می‌توان به‌ راحتی ۳ گزینه اول را رد کرد.

 مثلا در گزینه یک اگر $abcd=\circ \circ \mathrm{11}$ بگیریم، در این صورت با توجه به کد گزینه ۱ خروجی ۱ می­‌شود ولی با توجه به شکل گفته شده در صورت تست خروجی don't care می­‌شود، چون اگر $abcd=\circ \circ \mathrm{11}$ را در شکل قرار دهیم ورودی گیت not برابر don't care می‌شود و بنابراین خروجی گیت not هم برابر don't care می­‌شود.

نمونه سوالات مدار منطقی این صفحه از چه منابعی است؟

نمونه سوالات مدار منطقی این صفحه از منابعی همچون موریس مانو، نمونه سوالات دانشگاه شریف، نمونه سوالات پایان ترم دانشگاه های برتر کشور، نمونه سوالات مدار منطقی دانشگاه آزاد، نمونه سوالات مدار منطقی کاردانی علمی کاربردی و همین طور سوالات مدار منطقی کنکور ارشد و دکتری رشته های مختلف از جمله کامپیوتر، برق و مکاترونیک استفاده شده است.

آیا نمونه سوالات مدار منطقی این صفحه جواب دارد و به درد چه کسانی می‌خورد؟

بله. تمامی سوالات مدار منطقی این صفحه با پاسخ های کاملا تشریحی در اختیار شما قرار گرفته است. سوالات این صفحه به درد دانشجویان مقطع لیسانس رشته های مختلف، داوطلبان کنکورهای مقاطع مختلف از جمله ارشد و دکتری، داوطلبان آزمون های استخدامی و افرادی است که به دنبال حل مسائل بیشتر برای مسلط شدن روی مدار منطقی هستند

همچنین هر گونه سوالی در مورد کلاس‌های آنلاین کنکور کامپیوتر و یا تهیه فیلم‌ها و یا رزرو مشاوره تک جلسه‌ای تلفنی با استاد رضوی دارید می‌توانید به طرق زیر از تیم پشتیبانی بپرسید:

آی دی تلگرام تیم پشتیبانی:     konkurcomputer_admin@

تماس با پشتیبانی:   09378555200

امتیازدهی3.6666666666667 1 1 1 1 1 1 1 1 1 13.67 امتیاز (3 رای)
اشتراک
بارگذاری نظرات
تلگرام اینستاگرام